Pular para conteúdo

Apresentação de artigos

A cada mês, cada aluno de pós-graduação ou dupla de alunos de graduação deve ler um artigo científico e apresentá-lo para a turma. A apresentação deve ser feita em sala de aula, com duração máxima de 25 minutos, seguida de até 15 minutos para perguntas e respostas.

Artigos de maio

Data Alunos Artigo
seg, 05/jun Kaio (225462) Francesco Minervini, Oscar Palomar, Osman Unsal, Enrico Reggiani, Josue Quiroga, Joan Marimon, Carlos Rojas, Roger Figueras, Abraham Ruiz, Alberto Gonzalez, Jonnatan Mendoza, Ivan Vargas, César Hernandez, Joan Cabre, Lina Khoirunisya, Mustapha Bouhali, Julian Pavon, Francesc Moll, Mauro Olivieri, Mario Kovac, Mate Kovac, Leon Dragic, Mateo Valero, and Adrian Cristal. 2023. Vitruvius+: An Area-Efficient RISC-V Decoupled Vector Coprocessor for High Performance Computing Applications. ACM Trans. Archit. Code Optim. 20, 2, Article 28 (June 2023), 25 pages.
seg, 05/jun Cassio (264953) X. Xie et al., SpaceA: Sparse Matrix Vector Multiplication on Processing-in-Memory Accelerator, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Korea (South), 2021, pp. 570-583.
qua, 07/jun Fabrício (231900) Qiaoyi Liu, Jeff Setter, Dillon Huff, Maxwell Strange, Kathleen Feng, Mark Horowitz, Priyanka Raina, and Fredrik Kjolstad. 2023. Unified Buffer: Compiling Image Processing and Machine Learning Applications to Push-Memory Accelerators. ACM Trans. Archit. Code Optim. 20, 2, Article 26 (June 2023), 26 pages.
qua, 07/jun João Alberto (218548) A. Li, A. Ning and D. Wentzlaff, Duet: Creating Harmony between Processors and Embedded FPGAs 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Montreal, QC, Canada, 2023, pp. 745-758, doi: 10.1109/HPCA56546.2023.10070989.
seg, 12/jun Rubens (217146) Dan Zhang, Safeen Huda, Ebrahim Songhori, Kartik Prabhu, Quoc Le, Anna Goldie, and Azalia Mirhoseini. 2022. A full-stack search technique for domain optimized deep learning accelerators. In Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '22). Association for Computing Machinery, New York, NY, USA, 27–42.
seg, 12/jun Guilherme (235970) e Igor (236769) D. Joseph, J. L. Aragón, J. -M. Parcerisa and A. González, TCOR: A Tile Cache with Optimal Replacement, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Korea, Republic of, 2022, pp. 662-675, doi: 10.1109/HPCA53966.2022.00055.
seg, 12/jun Leonardo (240342) Joseph Ravichandran, Weon Taek Na, Jay Lang, and Mengjia Yan. 2022. PACMAN: attacking ARM pointer authentication with speculative execution. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). Association for Computing Machinery, New York, NY, USA, 685–698.
qua, 14/jun Júlia (238077) e Lucas (220792) A. Ros and A. Jimborean, A Cost-Effective Entangling Prefetcher for Instructions, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021, pp. 99-111, doi: 10.1109/ISCA52012.2021.00017.
qua, 14/jun Alexandre (212328) e Gabriel (216392) C. Zhang et al., Flex: High-Availability Datacenters With Zero Reserved Power 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021, pp. 319-332, doi: 10.1109/ISCA52012.2021.00033.
qua, 14/jun Felipe (215720) e Vinícius (257390) M. Asiatici and P. Ienne, Large-Scale Graph Processing on FPGAs with Caches for Thousands of Simultaneous Misses, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021, pp. 609-622, doi: 10.1109/ISCA52012.2021.00054.

Artigos de abril

Data Alunos Artigo
seg, 08/mai João Alberto (218548) R. Hojabr, A. Sedaghati, A. Sharifian, A. Khonsari and A. Shriraman, SPAGHETTI: Streaming Accelerators for Highly Sparse GEMM on FPGAs, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Korea (South), 2021, pp. 84-96, doi: 10.1109/HPCA51647.2021.00017.
seg, 08/mai Fabrício (231900) H. Lee, C. Kim, M. Kim, Y. Chung and J. Kim, NeuroSync: A Scalable and Accurate Brain Simulator Using Safe and Efficient Speculation, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Korea, Republic of, 2022, pp. 633-647, doi: 10.1109/HPCA53966.2022.00053.
seg, 08/mai Kaio (225462) Chanchal Kumar North et al. Post-fabrication microarchitecture. 2021. Micro-54: 54th annual IEEE/ACM International Symposium on microarchitecture, ACM Conferences.
qua, 10/mai Vinícius (233243) Y. Yuan et al., Don’t Forget the I/O When Allocating Your LLC, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021, pp. 112-125, doi: 10.1109/ISCA52012.2021.00018.
qua, 10/mai Rubens (217146) Jack Turner, Elliot J. Crowley, and Michael F. P. O'Boyle. 2021. Neural architecture search as program transformation exploration. In Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '21). Association for Computing Machinery, New York, NY, USA, 915–927.
qua, 10/mai Cassio (264953) E. Qin et al., SIGMA: A Sparse and Irregular GEMM Accelerator with Flexible Interconnects for DNN Training, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), San Diego, CA, USA, 2020, pp. 58-70, doi: 10.1109/HPCA47549.2020.00015.
seg, 15/mai Felipe (215720) e Vinícius (257390) S. Singh, A. Perais, A. Jimborean and A. Ros, Exploring Instruction Fusion Opportunities in General Purpose Processors, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), Chicago, IL, USA, 2022, pp. 199-212, doi: 10.1109/MICRO56248.2022.00026.
seg, 15/mai Alexandre (212328) e Gabriel (216392) G. Vavouliotis et al., Exploiting Page Table Locality for Agile TLB Prefetching, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021, pp. 85-98, doi: 10.1109/ISCA52012.2021.00016.
seg, 15/mai Guilherme (235970) e Igor (236769) Y. Yuan, Y. Wang, R. Wang, R. B. R. Chowhury, C. Tai and N. S. Kim, QEI: Query Acceleration Can be Generic and Efficient in the Cloud, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Korea (South), 2021, pp. 385-398, doi: 10.1109/HPCA51647.2021.00040.
seg, 22/mai Júlia (238077) e Lucas (220792) Gilead Posluns, Yan Zhu, Guowei Zhang, and Mark C. Jeffrey. 2022. A scalable architecture for reprioritizing ordered parallelism. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). Association for Computing Machinery, New York, NY, USA, 437–453.
seg, 22/mai Leonardo (240342) Sudhanshu Shukla, Sumeet Bandishte, Jayesh Gaur, and Sreenivas Subramoney. 2022. Register file prefetching. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). Association for Computing Machinery, New York, NY, USA, 410–423.

Artigos de março

Data Alunos Artigo
seg, 03/abr Felipe (215720) e Vinícius (257390) DEVIC, A. et al. To PIM or not for emerging general purpose processing in DDR memory systems. Proceedings of the 49th Annual International Symposium on Computer Architecture, 11 jun. 2022.
seg, 03/abr João Alberto (218548) Z. Li, Y. Ye, S. Neuendorffer and A. Sampson, Compiler-Driven Simulation of Reconfigurable Hardware Accelerators. 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA), Seoul, Korea, Republic of, 2022, pp. 619-632.
seg, 03/abr Leonardo (240342) Ashkan Asgharzadeh, Juan M. Cebrian, Arthur Perais, Stefanos Kaxiras, and Alberto Ros. 2022. Free atomics: hardware atomic operations without fences. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). Association for Computing Machinery, New York, NY, USA, 14–26.
qua, 05/abr Kaio (225462) Tine, Blaise, Fares Elsabbagh, Krishna Yalamarthy, and Hyesoon Kim. Vortex: Extending the RISC-V ISA for GPGPU and 3D-GraphicsResearch. (2021). MICRO '21: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture. October, 2021. Pages 754–766.
qua, 05/abr Rubens (217146) ISCA 2021 - Ten Lessons From Three Generations Shaped Google’s TPUv4i. 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA). Norman P. Jouppi, Doe Hyun Yoon, Matthew Ashcraft, Mark Gottscho, Thomas B. Jablin, George Kurian, James Laudon, Sheng Li, Peter Ma, Xiaoyu Ma, Thomas Norrie, Nishant Patil, Sushma Prasad, Cliff Young, Zongwei Zhou, and David Patterson, Google LLC.
qua, 05/abr Fabrício (231900) P. -Y. Chen, X. Peng and S. Yu, NeuroSim: A Circuit-Level Macro Model for Benchmarking Neuro-Inspired Architectures in Online Learning in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 12, pp. 3067-3080, Dec. 2018.
seg, 10/abr Vinícius (233243) M. Chaudhuri, Zero Inclusion Victim: Isolating Core Caches from Inclusive Last-level Cache Evictions. 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Valencia, Spain, 2021, pp. 71-84.
seg, 10/abr Alexandre (212328) e Gabriel (216392) Jaewon Lee, Yonghae Kim, Jiashen Cao, Euna Kim, Jaekyu Lee, and Hyesoon Kim. 2022. Securing GPU via region-based bounds checking. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). Association for Computing Machinery, New York, NY, USA, 27–41.
seg, 10/abr Guilherme (235970) e Igor (236769) ZHANG, Yunan; TSAI, Po-An; TSENG, Hung-Wei. SIMD2: a generalized matrix instruction set for accelerating tensor computation beyond GEMM. In: Proceedings of the 49th Annual International Symposium on Computer Architecture. 2022. p. 552-566.
qua, 12/abr Cassio (264953) H. Genc et al., Gemmini: Enabling Systematic Deep-Learning Architecture Evaluation via Full-Stack Integration. 2021 58th ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 2021, pp. 769-774.
qua, 12/abr Júlia (238077) e Lucas (220792) Ali Sedaghati, Milad Hakimi, Reza Hojabr, and Arrvindh Shriraman. 2022. X-cache: a modular architecture for domain-specific caches. In Proceedings of the 49th Annual International Symposium on Computer Architecture (ISCA '22). Association for Computing Machinery, New York, NY, USA, 396–409.