O ghdl está instalado nas máquinas do IC-03, se necessário instale o gktwave em sua conta. Escreva o seu projeto Controle.vhd, copie o arquivo tb_Controle.vhd para o mesmo diretório onde se encontra o seu Controle.vhd e execute, na mesma ordem os comandos abaixo: (O manual do ghdl pode ser encontrado em : http://ghdl.free.fr/ghdl/index.html) 1) ghdl -a Controle.vhd (analisa a o arquivo Controle.vhd) 2) ghdl -a tb_Controle.vhd (analisa a o arquivo tb_Controle.vhd) 3) ghdl -e tb_Controle (elabora a entidade tb_Controle) 4) ghdl -r tb_Controle --vcd=Controle.vcd (simula a entidade tb_controle e gera o arquivo Controle.vcd, formato aceito pelo gtkwave) ou ghdl -r tb_Controle --wave=Controle.ghw (simula a entidade tb_controle e gera o arquivo Controle.ghw, formato aceito pelo gtkwave (GHdl Waveform)) 5) gtkwave Controle.vcd & ou gtkwave Controle.ghw & OBS.: 1) para modificações no arquivo tb_Controle.vhd é necessário executar os passos 2) em diante. 2) Para parar a simulação pode-se usar o assertion, definindo o nível de severitade que será usado para parar a simulação (http://ghdl.free.fr/ghdl/Simulation-options.html). Ou definir um tempo de simulação com --stop-time=TIME Exemplo: ghdl -r tb_Controle --vcd=Controle.vcd --assert-level=failure ghdl -r tb_Controle --vcd=Controle.vcd --stop-time=1500ns Assertion Statement: http://vhdl.renerta.com/mobile/source/vhd00007.htm