Dica para conversão de std_logic para inteiro library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; port(A1 : in std_logic_vector(4 downto 0); ..... No processo declarar uma variavel aux Variable Aux : integer; Aux := to_integer(unsigned(A1)); depois usar aux para fazer o acesso ao elemento do banco de registradores. OBS.: o uso de aux não é obrigatório, você pode usar a chamada da função de conversão em cada lugar do código em que for necessário Para Compilar: ghdl -a --ieee=synopsys -fexplicit .... ghdl -e --ieee=synopsys -fexplicit ....